1 篇文章
  • 三维离散单元法软件

    3DEC(3-Dimension Distinct Element Code)是世界范围内第一款以非连续介质力学模拟作为目标,采用离散单元法作为基本理论进行定制开发并商业化的三维分析程序,特别适用于因不连续界面导致变形和破坏现象的机制性研究,如节理岩体、砌体结构等。

    3349 20-04-14
首页     产品与培训    3DEC